×

如何在VHDL设计中使用库模块

消耗积分:0 | 格式:rar | 大小:0.27 MB | 2021-01-22

分享资料个

本文档的主要内容详细介绍的是如何在VHDL设计中使用库模块。

  本教程解释了如何将Altera的库模块包含在基于VHDL的设计中,这些设计是使用Quartus R:II软件实现的。

  内容:

  示例电路

  参数化模块库

  LPM增广电路

  增广设计结果

  实际设计通常包括常用的电路块,如加法器、减法器、乘法器、解码器、计数器和移位器。Altera以库模块的形式提供了这些块的有效实现,这些库模块可以在VHDL设计中实例化。编译器可以识别VHDL代码中指定的标准函数可以使用库模块来实现,在这种情况下,编译器可以自动推断出该模块。但是,许多库模块提供的功能过于复杂,编译器无法自动识别。这些模块必须在设计中由用户显式实例化。

  Quartus R:II软件包括一个参数化模块库(LPM),这些模块在结构上是通用的,并且通过指定general的值来定制特定的应用程序参数。做什么在本教程中,读者将了解:

  •参数化模块库(LPM)

  •配置用于电路的LPM

  •在设计电路中实例化LPM

  教程中的详细示例是使用Quartus II 7.1版获得的,但也可以使用该软件的其他版本。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !