×

基于VHDL的MSK调制解调器的建模与设计

消耗积分:0 | 格式:pdf | 大小:0.44 MB | 2023-10-18

杨丽

分享资料个

简介:针对待统的最小频移键控(MSK)的调制解调方式,提出一种基于甚高速硬件描述语言(VHDL)的数字式MSK调制解调模型。这种新建模方式诬于在目标芯片FPGA/CPLD上实现MSK调制解调功能。新的MSK调串J嚣模型引入一个特殊相位计模块,控制已调信号的相住连续性;新的MSK解调器根据其调制嚣模型.采用比较器和有特殊关系的计数器采实现。通过理论推导和系统vHDL的编程设计与时序仿真.结果表明新型MSK调制解调器模型在理论和实用上是可行的。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !