×

FPGA入门系列实验教程之按键控制LED亮灭的详细资料说明

消耗积分:0 | 格式:rar | 大小:0.40 MB | 2019-06-11

分享资料个

  1. 实验任务

  实现按键控制LED亮灭。通过这个实验,掌握采用Verilog HDL语言编程实现按键控制LED亮灭方法。

  2. 实验环境

  硬件实验环境为艾米电子工作室型号 EP2C8Q208C8 增强版开发套件。软件实验环境为 Quartus II 8.1 开发软件。

  3. 实验原理

  当独立按键 key1 按下后,相应的 LED 被点亮;再次按下后,LED 做翻转输出,即 LED 熄灭,从而实现按键控制 LED 亮灭。本实验没有对按键做消抖处理。
 

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !