×

通过智能设计运行提高工作效率

消耗积分:0 | 格式:pdf | 大小:0.60 MB | 2023-09-14

分享资料个

本白皮书描述智能设计如何使用机器学习和基于规则的系统来模拟时序收敛专家并提高生产力。传统上,高速设计的时序收敛是更具挑战性的阶段之一的硬件设计。实现时序封闭设计的最短路径是一段费力的旅程对时序故障和无数解决方案的迭代进行复杂分析。自推出以来Vivado® 设计套件 2012 年,Xilinx 专注于提高客户的生产力通过将智能构建到定时收敛任务中,以最大程度地减少干预,从而腾出更多时间致力于产品差异化。智能设计运行 (IDR) 是将所有时序收敛构建块封装到强大的高工作量中的生产力编译,具有按钮访问,易于使用。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !