×

基于FPGA的MSK调制解调器设计与应用

消耗积分:10 | 格式:rar | 大小:221 | 2010-01-25

笑过就走

分享资料个

提出了一种基于FPGA 的数字MSK 调制解调器设计方法,应用VHDL 语言进行了模块设
计和时序仿真。硬件部分在Altera 公司 EP2C15AF256C8N FPGA 上实现。结果表明,数字MSK调制解调器具有相位连续,频带利用率高的优点。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !