×

使用FPGA进行按键模块消抖的详细资料说明

消耗积分:1 | 格式:pdf | 大小:0.19 MB | 2019-04-23

郝埃连

分享资料个

  按键消抖实验可谓是经典中的经典,按键消抖实验虽曾在《建模篇》出现过,而且还惹来一堆麻烦。事实上,笔者这是在刁难各位同学,好让对方的惯性思维短路一下,但是惨遭口水攻击 。.. 面对它,笔者宛如被甩的男人,对它又爱又恨。不管怎么样,如今 I’ll be back,笔者再也不会重复一样的悲剧。

  按键消抖说傻不傻说难不难。所谓傻,它因为原理不仅简单(就是延迟几下下而已),而且顺序语言(C语言)也有无数不尽的例子。所谓难,那是因为人们很难从单片机的思维跳出来 。.. 此外,按键消抖也有许多细节未曾被人重视,真是让人伤心。按键消抖一般有3段操作:

  检测电平变化;

  过滤抖动(延迟);

  产生有效按键。

  假设C语言与单片机的组合想要检测电平变化,它们一般是利用if查询或者外部中断。事后,如果这对组合想要过滤抖动,那么可以借用for延迟的力量,又或者依赖定时中断产生精明的延迟效果。反观有效案件的产生,这对组合视乎而外钟情“按下有效”似的 。.. 不管怎么样,C语言与单片机这对组合在处理按键的时候,它们往往会错过一些黄金。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !