×

FPGA中SRL16的资料详细说明

消耗积分:0 | 格式:rar | 大小:0.06 MB | 2020-12-31

分享资料个

资源的使用:

今天对FPGA中的srl16资源IP核进行的仿真,以研究其功能,芯片为XC7K325Tffg900。

1、SRL16的作用就是移位寄存器,Xilinx的FPGA中基本单元为CLB,CLB由两个slice构成,分为SliceL与SliceM,其中SliceM中的LUT可以配置为Distribute RAM或SRL;

2、SRL16的IP核名称为RAM-based Shift Register ,其配置参数有以下几个:

这个参数确定的是移位寄存器的移位时钟个数。这个时钟个数取决于后面的Depth参数。其中第一个参数Fixed Length 指的是移位周期数是固定的(后面的Depth指定)。第二个参数指的是可变长度的,也就是说移位寄存器的移位长度是可变的,至于长度究竟是多少,那就得看下面的参数Depth了,这个Depth代表的是移位寄存器的最大移位长度(因为是可变的),如果选择这一项的话就意味着会启用一个外接寄存器来控制移位的真正长度,也就是A[]寄存器,这个输入来控制移位的真正长度(但是在Depth范围内)。

资源的使用:

今天对FPGA中的srl16资源IP核进行的仿真,以研究其功能,芯片为XC7K325Tffg900。

1、SRL16的作用就是移位寄存器,Xilinx的FPGA中基本单元为CLB,CLB由两个slice构成,分为SliceL与SliceM,其中SliceM中的LUT可以配置为Distribute RAM或SRL;

2、SRL16的IP核名称为RAM-based Shift Register ,其配置参数有以下几个:

这个参数确定的是移位寄存器的移位时钟个数。这个时钟个数取决于后面的Depth参数。其中第一个参数Fixed Length 指的是移位周期数是固定的(后面的Depth指定)。第二个参数指的是可变长度的,也就是说移位寄存器的移位长度是可变的,至于长度究竟是多少,那就得看下面的参数Depth了,这个Depth代表的是移位寄存器的最大移位长度(因为是可变的),如果选择这一项的话就意味着会启用一个外接寄存器来控制移位的真正长度,也就是A[]寄存器,这个输入来控制移位的真正长度(但是在Depth范围内)。

资源的使用:

今天对FPGA中的srl16资源IP核进行的仿真,以研究其功能,芯片为XC7K325Tffg900。

1、SRL16的作用就是移位寄存器,Xilinx的FPGA中基本单元为CLB,CLB由两个slice构成,分为SliceL与SliceM,其中SliceM中的LUT可以配置为Distribute RAM或SRL;

2、SRL16的IP核名称为RAM-based Shift Register ,其配置参数有以下几个:

这个参数确定的是移位寄存器的移位时钟个数。这个时钟个数取决于后面的Depth参数。其中第一个参数Fixed Length 指的是移位周期数是固定的(后面的Depth指定)。第二个参数指的是可变长度的,也就是说移位寄存器的移位长度是可变的,至于长度究竟是多少,那就得看下面的参数Depth了,这个Depth代表的是移位寄存器的最大移位长度(因为是可变的),如果选择这一项的话就意味着会启用一个外接寄存器来控制移位的真正长度,也就是A[]寄存器,这个输入来控制移位的真正长度(但是在Depth范围内)。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !