×

EDA实验一 Quartus II软件的使用

消耗积分:0 | 格式:docx | 大小:940KB | 2017-11-12

444B

分享资料个

Quartus II软件的使用

  实验一 Quartus II软件的使用

  一、 实验目的

  1、 通过一个简单的3-8译码器的设计,掌握组合逻辑电路的设计方法。

  2、 初步了解QUARTUSII原理图输入设计的全过程。

  3、 掌握组合逻辑电路的静态测试方法。

  二、 实验原理

  3-8译码器具有三个输入、八个输出。当输入信号按二进制方式的表示值为N时,输出端标号为N的输出端输出高电平表示有信号产生,而其它则为低电平表示无信号产生。因为三个输入端能产生的组合状态有八种,所以输出端在每种组合中仅有一位为高电平的情况下,能表示所有的输入组合。其真值表如表1-1所示

  输入输出

  ABCD7D6D5D4D3D2D1D0

  00000000001

  00100000010

  01000000100

  01100001000

  10000010000

  10100100000

  11001000000

  11110000000

  表1-1 三-八译码器真值表

  译码器不需要像编码器那样用一个输出端指示输出是否有效。但可以在输入中加入一个输出使能端,用来指示是否将当前的输入进行有效的译码,当使能端指示输入信号无效或不用对当前信号进行译码时,输出端全为高电平,表示无任何信号。本实验设计中没有考虑使能输入端,自己设计时可以考虑加入使能输入端时,自行进行相应的程序设计。

  三、 实验内容

  在本实验中,用三个拨动开关来表示三八译码器的三个输入(A、B、C);用八个LED来表示三八译码器的八个输出(D0-D7)。通过输入不同的值来观察输入的结果与三八译码器的真值表(表1-1)是否一致。实验箱中的拨动开关与FPGA的接口电路如下图1-1所示,当开关闭合(拨动开关的档位在下方)时其输出为低电平,反之输出高电平。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !