×

Chisel开源硬件构造语言的介绍性教程免费下载

消耗积分:0 | 格式:pdf | 大小:0.96 MB | 2020-02-10

demonyh0423

分享资料个

  本文档是 Chisel (Constructing Hardware In a Scala Embedded Language) 的介绍性教程。Chisel 是一种嵌入在高阶编程语言 Scala 中用来构造硬件的语言。在未来的某个时候我们将提供更适合的参考手册,引入更多的教程示例。在这之前,本文档虽然有一些尝试和错误,但也应该可以带你开始使用 Chisel。Chisel 实际上只是一组特殊的用 Scala 事先定义的类、对象和使用惯例,所以当写一份 Chisel 程序的时候,你实际上在写一份 Scala 程序。我们会在讲述我们的 Chisel 示例的时候指明必要的 Scala 语法特性,根据本文档给出的材料应该就可以完成相当有意义的硬件设计。但是如果你还想体验和设计出简洁和高复用的代码,你会发现借用 Scala 语言潜在的优势非常重要。我们建议你翻阅一些优秀的 Scala 书籍以便在使用 Scala 编程的时候更加专业。 Chisel 现在还处于早期阶段,你有可能会遇到一些实现缺陷,甚至有可能遇到方案设计问题。然而我们正在积极的修正和改进这种语言,欢迎提交缺陷报告和改进建议。即使在它的早期阶段,我们希望 Chisel 可以帮助设计者在构建容易复用和维护的设计中提高生产力。

  Chisel(Constructing Hardware In a Scala Embedded Language)是UC Berkeley开发的一种开源硬件构造语言。它是建构在Scala语言之上的领域专用语言(DSL),支持高度参数化的硬件生成器。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !