×

FPGA基础应用计数器的实例详细说明

消耗积分:0 | 格式:rar | 大小:0.52 MB | 2020-12-11

分享资料个

  最简单的计数器

  最简单有效的二进制计数器可以由几句Verilog 语句实现。比如,这是实现32位计数器的代码。

  该计数器从0 计数到4294967295,然后回滚到0 并重新开始计数。它只需要FPGA 上一点点的资源就可以迅速完成计数,这都多亏了FPGA 中隐藏的进位链。让我们来看这种计数器的几种变体。

  注意如果我们不声明初值的话,仿真工具将不会工作,一些合成工具也有可能会改变初值,所以声明初值是一个好主意。我们也可以用异步复位来声明初值,但最简单的方法还是用上面的代码。

  记住FPGA 的合成工作可能得做一些处理才能使得计数器从300 开始计数。FPGA 的触发器总是从0 开始,所以你可以认为计数器初值必为0。但要是在逻辑电路中加入一些反相器,就可以实现任意的初值。逆变器在FPGA 中是“不占空间”的,所以用逆变器完全没有缺陷。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !