×

FPGA基础应用音乐盒的实例详细说明

消耗积分:0 | 格式:rar | 大小:0.39 MB | 2020-12-11

分享资料个

  本例中,我们来教大家如何使我们的FPGA 播放声音和音乐。

  我们首先生成单个音调。然后慢慢地做更有趣的事情,如制作警报声和播放曲调。

  硬件

  该项目使用的是一个Pluto 的FPGA 开发板,规格书链接在此——Pluto。还有一个扬声器和一个1kΩ的电阻。

  本音乐盒项目分为4 个部分。

  1.简单的哔哔声

  2.警报声

  3.音调

  4.曲子

  简单的哔哔声

  FPGA 可以轻松实现二进制计数器。例如,在回滚之前,16 位计数器将从0 到65535(65536 个不同的值)计数。Pluto 板具有一个25MHz 的时钟振荡器,因此我们可以轻松构建一个25MHz 时钟16 位自动计数器。其最高位切换频率为25000000/65536 = 381Hz。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !