×

基于FPGA的简易电子琴的实现

消耗积分:0 | 格式:rar | 大小:0.07 MB | 2021-04-28

姚小熊27

分享资料个

  本系统是采用EDA技术设计的一个简易的八音符电子琴,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。多功能电子琴的设计是在原有普通电子琴的基础上进行扩充的一个设计。该电子琴的设计大体可以由三个模块构成,分别是电子琴音调发生器模块、数控分频模块和自动演奏模块。用超高速硬件描述语言VHDL编程可以实现各个模块的功能。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(1)
发评论
chenlance2979 2021-05-31
0 回复 举报
承接fpga和芯片开发项目, 免费分享技术资料2T云盘, +VX: www8928 收起回复

下载排行榜

全部1条评论

快来发表一下你的评论吧 !