×

使用FPGA的电子骰子

消耗积分:0 | 格式:rar | 大小:0.12 MB | 2023-01-04

香香技术员

分享资料个

电子骰子或1至6(3位)的随机数生成器。使用FPGA将随机数显示在共阳极7段显示屏上。

硬件组件:

MINI_SP6-SPARTAN 6 FPGA开发板×1个

USB-A至Mini-USB电缆×1个

共阳极7段显示×1个

面包板(通用)×1个

公/母跳线×1个

软件应用程序和在线服务:

Xilinx软件开发套件

VHDL实现从1到6(3位)的随机数生成器。随机数显示在共阳极7段显示器上。因此,它可以用作电子骰子。在Xilinx Spartan 6 FPGA上实现。.vhd是VHDL文件。ucf是用户实现文件。用.ucf文件中的引脚分配FPGA上的IO引脚。随机数的生成是通过在时钟脉冲处对位向量进行连续XOR操作来完成的。该项目可以用来代替Ludo游戏中使用的传统骰子。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !