×

UVM实战卷1 PDF电子书免费下载

消耗积分:5 | 格式:pdf | 大小:6.40 MB | 2019-11-28

门长彬

分享资料个

  《UVM实战》主要介绍UVM的使用。全书详尽介绍了UVM的factory机制、sequence机制、phase机制、objection机制及寄存器模型等的使用。此外,本书还试图引导读者思考UVM为什么要引入这些机制,从而使读者知其然,更知其所以然。本书以一个完整的示例开篇,使得读者一开始就对如何使用UVM搭建验证平台有总体的概念。针对没有面向对象编程基础的用户,本书在附录中简要介绍了面向对象的概念及SystemVerilog中区别于其他编程语言的一些特殊语法。

 

  uvm实战内容介绍

  第1章介绍了“UVM是什么”和“学了UVM之后能做什么”;

  第2章以一个简单的UVM验证平台为例,提纲挈领的介绍了UVM的各个组成部分和对应的原理;

  第3章到第10章再深入阐明UVM的各个组件和机理;

  第11章和附件分别对“OVM到UVM的迁徙”和“SystemVerilog”做了简介。
 

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !