UVM

0人关注
UVM是一个以SystemVerilog类库为主体的验证平台开发框架,验证工程师可以利用其可重用组件构建具有标准化层次结构和接口的功能验证环境。 ...展开
关注标签,获取最新内容

相关推荐

更多 >
×
20
完善资料,
赚取积分