×

使用IAP15 SPI写数字电位器MCP41XXX

消耗积分:0 | 格式:pdf | 大小:0.08 MB | 2021-11-15

吴湛

分享资料个

使用IAP15单片机的SPI控制数字电位器MCP41XXX1. SPI的硬件连接2. 软件2.1 初始化2.2 串口接收命令并发送3. 总结前一篇的仪表中采用了一个MCP41100的数字电位器,这个数字电位器采用了SPI通讯,为设置电位器提供了快捷的方式,过去也采用过数字端控制的电位器,有电阻增加和电阻减小的数字端子,当要增加电阻时,需要使电阻的INC端接高电平,等待电阻升高,而采用SPI的数字...

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !