×

EpicFV面向Verilog的形式化验证工具

消耗积分:2 | 格式:zip | 大小:30.94 MB | 2022-06-17

陈敏

分享资料个

授权协议 LGPL
开发语言 C/C++
操作系统 Linux
软件类型 开源软件
所属分类 其他开源

软件简介

EpicFV 是面向 Verilog 的形式化验证工具,目前支持在 Linux 系统上运行。

Features

  • 业界首款开源形式验证工具
  • 分布式计算/并行计算加速验证收敛
  • 自动调度引擎,降低形式验证工具使用门槛
  • 在易用性、实用性、稳定性上提供专业技术支持

随着芯片设计行业的高速发展,行业对芯片验证提出了更高的要求。动态仿真,形式验证,原型设计,硬件加速是常见的几大功能验证的手段。

其中,形式验证有着以下三种优势:

  1. 形式验证是穷尽的,完备的;
  2. 形式验证不需要任何复杂测试激励环境的搭建,只需要写约束和测试点即可;
  3. 形式验证对设计收敛的效率是传统仿真的倍数级;
  4. 形式验证适合于设计人员在早期对于自己的模块进行功能验证。

但是,形式验证需要使用断言(SystemVerilog Assertion),工程师必须熟悉语法,并且对工具内部的引擎有一定熟悉度,这对使用门槛和开发技术的成熟度提出了较高的要求,导致无论是高校还是设计公司,虽然都希望能借形式验证工具来大大加速验证的收敛,却因缺乏经验而止步。

作为全球首款开源形式验证工具,“灵验”在用户输入RTL设计和SystemVerilog断言描述设计规范的情况下,可以自动进行语法解析、设计综合、断言解析,并且基于分布式计算理论自动调度引擎求解断言,加速验证收敛,从而降低形式验证工具的使用门槛。

 

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !