×

Verilog教程之仿真验证与Testbench编写

消耗积分:0 | 格式:rar | 大小:44.45 MB | 2020-12-09

分享资料个

  仿真,也叫模拟,是通过使用EDA仿真工具,通过输入测试信号,比对输出信号(波形、文本或者VCD文件)和期望值,来确认是否得到与期望所一致的正确的设计结果,验证设计的正确性。

  验证是一个证明设计思路如何实现,保证设计在功能上正确的一个过程。

  验证在 Verilog HDL设计的整个流程中分为4个阶段

  阶段1:功能验证

  阶段2:综合后验证;

  阶段3:时序验证;

  阶段4:板级验证

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !