×

如何使用FPGA控制ADC0809设计一个量程为5V的数字电压表详细资料概述

消耗积分:0 | 格式:docx | 大小:0.36 MB | 2018-06-10

挽歌啊

分享资料个

  使用FPGA控制ADC0809,设计一个量程为5V的数字电压表。要求采用3位数码管显示电压值,可以显示小数点的后面两位。

  START为转换启动信号。当START为上跳沿时,所有内部寄存器清零;下跳沿时,开始进行A/D转换;在转换期间,START应保持低电平。EOC为转换结束信号。当EOC为高电平时,表明转换结束;否则,表明正在进行A/D转换。OUTPUTENABLE为输出允许信号,用于控制三条输出锁存器向单片机输出转换得到的数据。OE=1,输出转换得到的数据;OE=0,输出数据线呈高阻状态。D7-D0为数字量输出线。CLK为时钟输入信号线。因ADC0809的内部没有时钟电路,所需时钟信号必须由外界提供,通常使用频率为500KHZ。

如何使用FPGA控制ADC0809设计一个量程为5V的数字电压表详细资料概述

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !