×

FPGA视频教程之BJ-EPM240学习板SRAM读写实验的详细资料说明

消耗积分:0 | 格式:rar | 大小:0.00 MB | 2019-03-05

分享资料个

  SRAM芯片时字操作大同小异,在这里总结一一些它们共性的东西,也提一些用Verilog简单的快速操作SRAM的技巧。

  这里就以本实验使用的IS62LV256-70U为例进行说明。其管脚定义如表所示。

  具体在硬件连接的时候,其实很多人喜欢直接把输出使能信号0En和片选信号CEn接地,这样一-来不仅节省了处理器和SRAM连接的管脚数,而且在读写SRAM的时候其实只要对写使能信号WEn操作就可以了,简化了代码部分。本设计的硬件原理图如图5.23所示。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !