×

FPGA入门系列教程之进行LED流水灯的实验资料免费下载

消耗积分:0 | 格式:rar | 大小:0.71 MB | 2019-06-10

分享资料个

  1. 实验任务

  让实验板上的 8 个 LED 实现流水灯的功能。通过这个实验,进一步掌握采用计数与判断的方式来实现分频的 Verilog HDL 的编程方法以及移位运算符的使用。

  3. 实验原理

  流水灯,顾名思义就是让 LED 象水一样的点亮。如果把流水做慢动作播放,可以想象到其实就是移动,即:把水块不断地向同一方向移动,而原来的水块保持不动,就形成了流水。同样,如果使得最左边的灯先亮;然后,通过移位,在其右侧的灯,由左向右依次点亮,而已经亮的灯又不灭,便形成了向右的流水灯。初始状态时,8 个灯都不亮。每来一个时钟脉冲 CLK,计数器就加 1。每当判断出计数器中的数值达到 25000000 时,就会点亮一个灯,并进行移位。 FPGA 输出的数据就应该首先是 10000000,隔 1 秒钟变成 11000000……一直变化到11111111,这样,依次点亮所有的灯,就形成了流水灯。而当 8 个灯都点亮时,需要一个操作使得所有的灯恢复为初始状态,即:灯都不亮。然后,再一次流水即可。如果是右移位,就出现向右流水的现象;反之,向左流水。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !