×

FPGA入门系列实验教程之液晶1602显示和相关资料合集免费下载

消耗积分:0 | 格式:rar | 大小:1.45 MB | 2019-06-26

分享资料个

本文档的主要内容详细介绍的是FPGA入门系列实验教程之液晶1602显示和相关资料合集免费下载还包括了:1602 LCD字符模块使用手册,程序,1602液晶说明

  1. 实验任务

  在开发板上实现液晶1602显示熟悉并掌握液晶 1602 显示屏的使用方法,学习利用 Verilog-HDL 语言编写有限状态机实现较为复杂的设计与应用。

  2. 实验环境

  硬件实验环境为艾米电子工作室型号 EP2C8Q208C8 增强版开发套件。软件实验环境为 Quartus II 8.1 开发软件。

  3. 实验原理

  本实验采用的是一个 2 行 16 字符液晶显示屏 LCD,它由 HD44780、HD44100 及几个电阻电容组成,详细资料参考液晶 1602 字符模块使用手册,这里不再累述。用 Verilog-HDL 语言描述一个控制液晶显示屏显示字符的电路,首先简要介绍一下电路的输入和输出信号。其中,clk、rst 分别是 50MHZ时钟和复位输入信号,rst 低电平有效,lcd_rs、lcd_rw、lcd_e 和 data 分别为与液晶显示屏控制芯片连接的控制信号。lcd_rs 为寄存器选择控制输出信号,当 lcd_rs 为低电平时,表示数据总线传输的是命令控制信号,当 lcd_rs 为高

  电平时,表示数据总线传输的是数据信号;lcd_rw 为读/写控制输出信号,当lcd_rw 为低电平时,表示向液晶显示屏控制芯片写数据,反之,为读取数据;lcd_e 为读/写操作允许控制脉冲输出信号,高电平有效;data 为数据信号。然后介绍一下 FPGA 向液晶显示屏控制芯片发送指令的流程,上电后,必须传送给液晶显示屏控制芯片初始化命令,初始化结束后,还要传输指定地址、计数器地址和显示字符的编码数据,。接通电源后,FPGA 向液晶显示屏控制芯片发送指令的流程如下图所示。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !