×

FPGA入门系列实验教程之使用LCD1602显示PS2键盘的键值

消耗积分:0 | 格式:rar | 大小:0.46 MB | 2019-06-21

分享资料个

  在开发板上实现 LCD1602 显示 PS/2 键盘的键值,熟悉并掌握液晶 1602 显示屏的使用方法及 PS/2 键盘的接口标准,学习利用 Verilog-HDL 语言编写有限状态机实现较为复杂的设计与应用。

  PS/2 键盘采用 2 线串行总线与 FPGA 进行通信,PS/2 总线包括时钟信号和数据信号。键盘采用 11 位的串行数据与 FPGA 进行通信,包括一个起始位、8 个数据位、奇偶校验位和停止位。首先简单介绍一下实现接收 PS/2 键盘发送的数据和显示按键数字或字母功能模块的输入和输出端口信号。其中 clk、rst 分别是 50MHZ 时钟和复位输入信号,rst 低电平有效,lcd_rs、lcd_rw、lcd_e和 data 分别为与液晶显示屏控制芯片连接的控制信号。lcd_rs 为寄存器选择控制输出信号,当 lcd_rs 为低电平时,表示数据总线传输的是命令控制信号,当 lcd_rs 为高电平时,表示数据总线传输的是数据信号;lcd_rw 为读/写控制输出信号,当 lcd_rw 为低电平时,表示向液晶显示屏控制芯片写数据,反之,为读取数据;lcd_e 为读/写操作允许控制脉冲输出信号,高电平有效;data为数据信号。PS 2CK 信号是 PS/2 键盘的时钟信号,PS 2DK 信号是 PS/2 键盘的数据信号。

  设计分为分频模块、接收 PS/2 键盘发送的数据模块和字符显示模块,分频模块主要是对 50MHZ 系统时钟信号进行分频,接收键盘扫描编码数据模块,需要正确接收键盘发送的串行数据,然后将键盘扫描编码数据转换为液

  晶显示屏显示字符的编码数据。字符显示控制部分是利用上节实验的程序稍加修改即可显示键盘扫描编码数据对应的字符。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !