×

使用Verilog语言实现方波和三角波的函数发生器的论文说明

消耗积分:0 | 格式:rar | 大小:0.67 MB | 2020-10-30

分享资料个

  在基于QUARTUS2软件平台下,运用Verilog硬件描述语言来进行编写两种波形(方波和阶梯波)发生的程序,并结合DE2板与DVCC实验板上的D/A转换器在示波器显示出波形。初步了解Verilog的编程及DE2板的应用,加强对其的实际应用操作能力。

  运用DE2上的DAC实现方波、三角波频率、占空比可设置。阶梯波信号频率、幅度可调。

  在完成基本要求的基础上,可进一步增加功能、提高性能。

  2.功能

  实验内容:

  利用简易函数发生器

  基本要求:运用DE2上的DAC实现方波、三角波发生器功能。方波频率、占空比可设置。阶梯波信号频率、幅度可调。

  在完成基本要求的基础上,可进一步增加功能、提高性能。
 

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !