×

如何使用FPGA实现ARINC429接口和总线数据接收

消耗积分:0 | 格式:rar | 大小:0.33 MB | 2021-02-03

分享资料个

  针对目前ARINC429航空总线的应用研究现状,分析常用ARINC429协议芯片的特点,根据ARINC429总线的电气特性,采用光电藕合器HCPI一0631实现ARINC429接口和电平转换,并通过FPGA完成ARINC429总线数据的接收。重点介绍接口电路设计和FPGA中的软件开发,与传统的ARINC429总线数据接收系统相比,具有接口电路简单、具备一定的抗干扰能力、不受协议芯片速率限制等优点.此方法已成功应用于产品中。并对其他串行总线数据接收具有借鉴意义。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !