×

备战TI系列 --- 五分钟内玩转MSP430F5529开发板几大重要功能及常用模块(基于CCS8环境下自制函数库)

消耗积分:2 | 格式:pdf | 大小:1.34 MB | 2021-11-24

胡秋阳

分享资料个

      2020届TI电赛即将开始,为应对新手入门MSP430系列单片机的问题,本人自行封装了相关常用的函数库,可实现搭建好CCS环境后,五分钟内驱动MSP430F5529开发板进行一些常用操作,使用起来比较简单,基础不好的同学们也很适用,那么话不多说,直奔主题。准备工作1、下载及安装CCS v8.0      下载软件及相关wave包:   &n

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !