×

Xilinx三重模块冗余(TMR)开发工具TMRTool

消耗积分:0 | 格式:pdf | 大小:501 KB | 2011-03-30

magic_xyc

分享资料个

The Xilinx TMR methodology, along with scrubbing, provides full single-event upset
(SEU ) and single-event transient (SET ) immunity for any Virtex® FPGA design. In
addition, the TMRT ool:
• Supports Windows 2000/XP with ISE ™ 6.3i, 7.1i, 8.2i and 9.2i tools, all design
entry methods, HDL , and synthesis tools
• Provides optional SRL 16 extraction and optional half-latch extraction capability
• Increases productivity by reducing errors, speeding TMR implementation, and
enabling easy integration of custom-built TMR modules while giving designers
complete control over how their design is triplicated

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !