×

dsPIC30F4011和dsPIC30F4012数字信号控制器的数据手册免费下载

消耗积分:2 | 格式:pdf | 大小:2.44 MB | 2020-10-09

刘北海

分享资料个

  本文档包含 dsPIC30F4011/4012 器件的特定信息。 dsPIC30F 器件在高性能 16 位单片机 (MCU)架构中融合了大量数字信号处理器(Digital Signal Processor, DSP)功能。图 1-1 和图 1-2 分别给出了 dsPIC30F4011 和 dsPIC30F4012 器件的框图。

  17x17 位乘法器可以进行有符号或无符号的运算,其输出经过定标器进行换算后可支持 1.31 小数 (Q31)或 32 位整数结果。无符号操作数经过零扩展后,送入乘法器输入值的第 17 位。有符号操作数经过符号扩展,送入乘法器输入值的第 17 位。17x17 位乘法器 / 定标器的输出是 33 位值,它将被符号扩展为 40 位。整型数据的固有表示形式为有符号的二进制补码值,其中 MSb 定义为符号位。一般来说,N 位二进制补码整数的范围为 -2N-1 到 2N-1 – 1。对于 16 位整数,数据范围为 -32768 (0x8000)到 32767 (0x7FFF),包括 0 在内。对于 32位整数,数据范围为-2,147,483,648(0x8000 0000)到 2,147,483,645 (0x7FFF FFFF)。当乘法器配置为小数乘法时,数据表示为二进制补码小数,其中 MSb 定义为符号位,小数点暗含在符号位之后(QX格式)。暗含小数点的 N 位二进制补码小数的范围为 -1.0 到(1-21-N)。对于 16 位小数,Q15 数据范围为 -1.0(0x8000)到 0.999969482(0x7FFF),包括 0 在内,其精度为 3.01518x10-5。在小数模式下, 16x16 乘法运算将产生 1.31 乘积,其精度为 4.65661x10-10。同一个乘法器还用来支持 DSC 乘法指令,包括整数的 16 位有符号、无符号和混合符号乘法。 MUL 指令可以使用字节或字长度的操作数。字节操作数将产生 16 位结果,而字操作数将产生 32 位结果,结果存放在 W 寄存器阵列的指定寄存器中。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !