×

AHB Slave Decoder和AHB Slave Interface接口的使用说明

消耗积分:5 | 格式:docx | 大小:0.16 MB | 2020-11-11

化屾爲海

分享资料个

  HME-M7它是集成了高级 MCU (Cortex-M3)内核与更高性能 FPGA 资源的智能型芯片。MCU与FPGA可以使用AHB 总线实现数据交互,这就是FP AHB 总线接口。使用AHB总线接口可以访问带有AHB Slave接口的IP模块,但是Fuxi工具没有提供以单个寄存器读写访问方式的IP核。而在实际工程中,MCU需要以寄存器的方式访问FPGA资源,本文的在此种背景下,根据AHB的单次触发读写时序的原理设计基于AHB Slave Decoder模块的ahb_slave_interface模块。ahb_slave_interface模块实现MCU以寄存器方式读写FPGA的数据,为FPGA开发受控于MCU的功能模块奠定通讯基础。

  如上图1是AHB Slave Decoder设计框图,使用Fuxi例化MCU时根据配置导出AHB Master Insterface接口,AHB Master Insterface接口与AHB Slave Decode模块进行互联。AHB Slave Decode模块属于Fuxi工具的IP核,该IP核最多可以挂载16个从站,每个从站分配的地址空间不能小于1K Byte。AHB Slave可以使用Fuxi工具中带有AHB Slave接口的IP核或者独立开发带有AHB Slave接口的功能模块。ahb_slave_interface模块属于AHB Slave部分的内容。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !