×

基于Verilog语言的交通灯设计

消耗积分:1 | 格式:docx | 大小:0.02 MB | 2022-06-17

lv6868

分享资料个

用状态机设计一个十字路口交通灯控制器。十字路口东西、南北各有红、黄、绿指示灯,其中绿 灯、黄灯和红灯的持续时间分别为40s、5s和45s。状态机所包含的状态有四个(S0,S1,S2,S3)如下: S0:东西绿灯亮,红灯和黄灯灭;南北绿灯和黄灯灭,红灯亮。 S1:东西绿灯和红灯灭,黄灯亮;南北绿灯和黄灯灭,红灯亮。 S2:东西绿灯和黄灯灭,红灯亮;南北绿灯亮,红灯和黄灯灭。 S3:东西绿灯和

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !