×

FPGA用VHDL语言编写24小时时钟

消耗积分:1 | 格式:doc | 大小:35KB | 2013-05-19

sushijun

分享资料个

简单明了的VHDL程序实现24小时计时时钟!

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(5)
发评论
k18648 2015-12-14
0 回复 举报
不错的资料,谢谢分享 收起回复
许久 2015-10-25
0 回复 举报
积分不够,不能下载 收起回复
全部评论

下载排行榜

全部5条评论

快来发表一下你的评论吧 !