×

基于Proteus的任意进制计数器设计与仿真

消耗积分:1 | 格式:pdf | 大小:1443KB | 2016-07-28

qhdlong

分享资料个

提出一种基于Proteus 软件的任意进制计数器的设计。以74LS163 集成计数器为基础,用置数法设计了两种48 进制计数器,采用Proteus 软件对计数器进行仿真。结果表明,Proteus 软件具有实现48 进制计数器的功能。仿真图像清晰,能快速准确地验证设计结果。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !