×

8线3线优先编码器的设计使用资料说明

消耗积分:0 | 格式:rar | 大小:0.35 MB | 2019-01-29

分享资料个

本文档的主要内容详细介绍的是8线3线优先编码器的设计使用资料说明+

  实验目的和要求

  复习编码器的原理,掌握编码器的设计实现方法,设计实现数字系统设计中常用的8线-3线优先编码器,逐步学会熟练运用MAX+PLUSⅡ或Quartus II软件,熟悉EDA的VHDL程序设计方法、学习掌握组合逻辑电路的VHDL描述方法,进一步掌握应用EDA常用工具进行组合逻辑电路的设计、分析、综合、仿真等的技巧。

  二、实验主要仪器和设备

  1. 计算机及操作系统

  2. MAX+Plus II或Quartus II软件

  3. 编程电缆(可选)

  三、实验原理

  1. 真值表

  8线-3线优先编码器的真值表如下:

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !