×

8051VHDL代码

消耗积分:10 | 格式:rar | 大小:397 | 2008-05-20

李鸿洋

分享资料个

8051参考设计,与其他8051的免费IP相比,文档相对较全,Oregano System 提供

This is version 1.3 of the MC8051 IP core.

September 2002: Oregano Systems - Design & Consulting GesmbH
Change history:
- Improved tb_mc8051_siu_sim.vhd to verify duplex operation.
- Corrected problem with duplex operation in file
  mc8051_siu_rtl.vhd

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !