×

sv2v将SystemVerilog转换为Verilog

消耗积分:0 | 格式:zip | 大小:0.50 MB | 2022-06-13

479461

分享资料个

授权协议 BSD
开发语言 Haskell
操作系统 Linux
软件类型 开源软件
所属分类 其他开源

软件简介

sv2v:从SystemVerilog到Verilog , sv2v将SystemVerilog (IEEE 1800-2017)转换为Verilog (IEEE 1364-2005), 重点是支持了可合成的语言结构。

其主要目标是创建一个完全免费和开放源码的工具,用于将SystemVerilog转换为Verilog。虽然执行这种转换的方法已经存在,但它们通常要么依赖于商业工具,要么受到范围的限制。

用法

sv2v [OPTIONS] [FILES]

Preprocessing:
  -I --incdir=DIR           Add directory to include search path
  -D --define=NAME[=VALUE]  Define a macro for preprocessing
     --siloed               Lex input files separately, so macros from
                            earlier files are not defined in later files
     --skip-preprocessor    Disable preprocessor
Conversion:
  -E --exclude=CONV         Exclude a particular conversion (always, assert,
                            interface, or logic)
  -v --verbose              Retain certain conversion artifacts
  -w --write=MODE           How to write output; default is 'stdout'; use
                            'adjacent' to create a .v file next to each input
Other:
     --help                 Display help message
     --version              Print version information
     --numeric-version      Print just the version number
 

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !