×

基于VHDL的组合逻辑设计

消耗积分:2 | 格式:ppt | 大小:1.70 MB | 2024-03-11

张兵

分享资料个

  一、逻辑抽象

  分析因果关系,确定输入/输出变量

  定义逻辑状态的含意(赋值)

  二、逻辑功能描述:

  列出真值表,布尔表达式,HDL语言等

  三、选定电路形式(器件类型)

  四、根据具体器件进行调整:

  对逻辑式化简(基本逻辑门)

  变换(中规模逻辑器件MSI)

  将HDL语句封装为完整程序代码(PLD)

  五、画出逻辑电路图,或下载到PLD

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !