×

HC-SR04超声波模块

消耗积分:0 | 格式:pdf | 大小:0.31 MB | 2021-11-24

分享资料个

1.硬件原理图2.传感器参数表电气参数HC-SR04 超声波模块工作电压DC 5 V工作电流15mA工作频率40kHz最远射程4m最近射程2cm测量角度15 度输入触发信号10uS 的 TTL 脉冲输出回响信号输出 TTL 电平信号,与射程成比例规格尺寸452015mm3.引脚功能表HC-SR04实物图HC-SR04功能引脚表引脚说明VCC接5VGND地线TRIG触

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !