×

VHDL语言的常用语法

消耗积分:10 | 格式:rar | 大小:444 | 2009-03-18

王强

分享资料个

[学习要求] 掌握VHDL硬件描述语言的基本描述语句。并可以利用这些语句进行简单电路的设计。
[重点与难点]
重点:常用的并行语句与顺序语句的语法。
难点:部件(Component的定义与应用。
[理论内容]
一、并行语句
所谓的并行语句指采用这些语法生成的硬件电路在时间上可以并行(或并发)的执行(运行)。这是VHDL语法必须具备的能力,也符合硬件电路的特性。这一点不同于软件,因为软件的语句(或指令)一般总是顺序执行。基本的并行同时语句,可分为下面三种形式来讨论:直接设置语句、条件式信号设置语句和选掼式信号设置语句。
1、直接设置语句
直接设置语句是采用“<=”运算符。
例如如下的语句:
D<= not A;
E<=B and C;
F<=A or B or C;
这三条语句虽然是分三行写的,但实际上三条语句是同时执行的。
2、条件式信号设置语句: When-Else
When-Else命令也是属于同时并行的语句命令,它的语法格式如下:
信号A <= 信号B When(条件1) Else
信号C When(条件2) Else
信号D;
说明:
⑴ 上述的条件式,是指一般常见的布尔表达式,亦即条件式的结果必定是真(True)或错(False)中的一种。
⑵ 语法中的条件式1为True时,则将信号B传递给信号A,否则再确认条件式2为True时,将信号C传递给信号A。最后在条件1和条件2都不成立的情况下,将信号D的值传递给信号A。
When-Else命令的应用范围非常广泛,例如:编码器、译码器、多路选择器等的VHDL命令编写,都可以采用这条命令。
3、选择式信号设置语句:With-Select
语法格式如下:
With 选择信号X Select
信号Y <= 信号值A When 选择信号X值为m,
信号值B When 选择信号X值为n
。。。
信号值Z When Others;
说明:
⑴ With-Select的命令作用是,判断选择信号X的值,依次是m或n等的相应条件值,然后在判断成立时,将它对应的信号值A或信号值B传递给信号Y。
⑵ 而在比罗过程,选择信号X无一是上述表示的信号值时,最后会将Others保留字前的信号值Z传递给信号Y。
⑶ 上述With-Select语法命令的m,n等值,必须互不相同。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !