×

如何使用Verilog HDL设计2位16进制的计数器详细程序分析

消耗积分:0 | 格式:rar | 大小:0.05 MB | 2018-09-21

分享资料个

  实验目的:

  学习计数器的设计、仿真和硬件测试方法。

  实验内容及步骤:

  1.使用Verilog HDL设计2位 16进制计数器,由DE2的KEY0输入计数值,在HEX1,HEX0上显示计数值。

  2.使用嵌入式逻辑分析仪进行仿真;

  3.将实验程序下载到DE2运行。

  实验注意事项:去抖动

  程序:请下载查看

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !