×

ATMEL公司的CPLD应该如何烧写详细方法说明

消耗积分:0 | 格式:rar | 大小:0.62 MB | 2019-06-12

分享资料个

  随着可编程逻辑器件的飞速发展,各大公司生产的高密度可编程逻辑器件不断推陈出新。实验的EDA部分采用的是ATMEL公司的ATF15xx系列CPLD, ATF15xx 系列CPLD提供与Altera7000和3000系列器件引脚兼容的对应器件集。ATMEL CPLD开发软件可以使用ProChip Designer工具,也可以使用的ALTERA公司的MAXPLUS II软件。EDA实验采用MAXPLUSII,设计好数字系统后, 需要利用ATMEL提供的POF2JED.EXE 和ATMELISP.EXE软件工具分别进行设计文件的转换和下载。其中,POF2JED.EXE 工具能轻而易举地实现MAXPLUS II生成的pof文件到ATMEL CPLD支持的jed 文件的转换, :ATMELISP.EXE工具可以将jed 文件通过ByteBlaseter下载方法下载到ATMEL CPLD,两个工具软件都可以免费下载。文件的转换和下载步骤如下:

  1、利用MAXPLUS II软件设计数字系统,选用EPM7128SLC84-15芯片(该芯片与实验使用的ATMEL CPLD引脚兼容),编译无错误无警告,生成* .Pof文件。2、执行POF2JED.EXE文件,界面如图1-1。

 

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !