×

基于DS3231实时时钟模块的stm32简易闹钟

消耗积分:5 | 格式:pdf | 大小: | 2021-12-24

分享资料个

stm32简易闹钟设计、制作一个简易的闹钟。要求如下:(1)作品需设有电源指示灯(绿),上电后,指示灯亮;(2)该闹钟能够显示当前的日期和时间,显示界面如下:Alarm ClockDate:年-月-日 (日期)Time:时:分:秒 (时间)Alm: 时:分:秒 (报警时间)(3)报警时间可调。设4个按键,分别为调时、调分、调秒、确定(4)当报警时间到达时,采用蜂鸣器报警,蜂鸣器要求响5S钟。系统系统时钟模块设计与论证方案一:直接采用STM32单片机自身集成的RTC实时时钟,使用程序

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论(0)
发评论

下载排行榜

全部0条评论

快来发表一下你的评论吧 !